Novel On-Chip Distributed Battery Research Published in IEEE Access Journal

As the growing demand for portable consumer electronics increases, researchers are faced with the challenge of having to fit more power into less space on a device. Limitations on the physical size, complexity, efficiency, and manufacturability of small-scale transistors introduce even more obstacles.

A newly published paper by Warren B. Nelms Institute researchers aims to address these concerns using the concept of distributed batteries within a heterogeneous 3D integrated circuit (IC). This novel approach involves utilizing multiple smaller batteries with different specifications among different modules of 3D ICs. This optimizes performance and overcomes limitations associated with both 3D ICs and conventional power delivery methods. This technique not only improves power delivery, enhances reliability, and improves efficiency, but also achieves a significantly more uniform distribution of heat throughout the entire structure.

The paper titled, “On-Chip Batteries as Distributed Energy Sources in Heterogeneous 2.5D/3D Integrated Circuits” was published in IEEE Access in August 2023. This paper is authored by PhD students Peyman Dehghanzadeh, Junjun Huan, and Rohan Reddy Kalavakonda; Soumyajit Mandal, Researcher at Brookhaven National Laboratory; and Swarup Bhunia, Professor and Director of the Warren B. Nelms Institute.

Revolutionary Distributed Batteries Eliminate Hotspots, Fortify Reliability, and Supercharge Power Delivery Efficiency through Precision Heat Management, while Subduing Electromagnetic Noise

 

Abstract:

Energy efficiency in digital systems faces challenges due to the constraints imposed by small-scale transistors. Moreover, the growing demand for portable consumer electronics necessitates the use of compact energy sources. To address these challenges, heterogeneous 3D IC technology has emerged as a promising solution for the former. Regarding the latter, we propose the concept of distributed batteries within a heterogeneous 3D IC. This approach involves utilizing multiple smaller batteries with different specifications among different modules of 3D ICs. This approach optimizes performance and overcomes limitations associated with both 3D ICs and conventional power delivery methods. Distributed batteries play a vital role in effectively managing the heat generated by energy sources and modules within a 3D IC. Furthermore, they contribute to achieving a uniform distribution of heat throughout the entire structure, which ultimately ensures the optimal performance of the batteries and modules. The simulation results indicate a 40 percent enhancement in achieving a more even distribution of generated heat. Additionally, the proposed distributed battery techniques improve power delivery, enhance reliability, and enable optimized voltage regulation while improving efficiency. In addition to the primary benefits, alternative configurations of the proposed approach can offer extra energy storage capacity and act as efficient electromagnetic shields, resulting in an impressive reduction of external electromagnetic noises by 60 dB.

 

Read the full paper on IEEE Access, Volume 11